[openXC7][openOCD] reading/writing to RAM32X1D LUTRAM via JTAG/BSCAN

by hro
GNU/Linux ◆ xterm-256color ◆ bash 713 views

This is proof of concept demonstrating LUTRAM validation over JTAG that will be helpful in validating experimental support for unsupported LUTRAMs in nextpnr-xilinx toolchain.

In this demo, I synthesize and implement the design using openXC7 toolchain, program the FPGA, and use custom openocd scripts to read and write from RAM32X1D LUTRAM.

The RAM32X1D under test has both of its address inputs connected together, but its two read ports are obtained separately. Hence, the 3 appearing in the read_lutram output after writing a 1.